r Cyfanwerthu Panasonic SMT Chip Mounter NPM-DX Gwneuthurwr a Chyflenwr |SFG
0221031100827

Cynhyrchion

Mounter sglodion UDRh Panasonic NPM-DX

Disgrifiad Byr:

Mwy o linell trwybwn, gwell ansawdd a chost is gyda llawr system mowntio cwbl awtomataidd


Manylion Cynnyrch

Tagiau Cynnyrch

19

Cysyniad cynhyrchu mowntio cenhedlaeth nesaf Panasonic (cyfres X).

“Gweithgynhyrchu clyfar”

Mwy o linell trwybwn, gwell ansawdd a chost is gyda llawr system mowntio cwbl awtomataidd

Nodweddion

Gweithrediad sefydlog yn seiliedig ar y swyddogaeth awtonomig - rheolaeth llinell ymreolaetholSystem APC ac opsiwn adfer awtomatig

Arbed llafur, gwell defnydd - Rheolaeth ddwysSystem rheoli llawr ac opsiwn gweithredu o bell

Llai o amrywiadau gwaith – llywio/eitemau awtomataiddLlywio gosod porthwr, llywio cyflenwad cydrannau ac eitemau awtomataidd

20

Cynnydd mewn Cynhyrchiant/Ansawdd

Modd cywirdeb uchel OFF

Cyflymder uchaf: 184 800cph * IPC9850(1608): 130 000cph * Cywirdeb lleoliad: ±25 μm

Modd cywirdeb uchel YMLAEN

Cyflymder uchaf: 108 000cph * IPC9850(1608): 76 000cph * Cywirdeb lleoliad: ± 15 μm

21*Tact ar gyfer 16NH × 4 pen

Gwell gallu i gefnogi cydrannau

22

Gosod swyddogaethau newydd yn safonol ar gyfer gwell ymarferoldeb (llai o anghenion llafur)

23

Cynnwys mwy o swyddogaethau defnyddiol i leihau llwyth gwaith y gweithredwr fel mater o drefn

Cyfarwyddo'r gydran addysgu cyn dechrau gweithredu

24

Yn tynnu cydrannau na all addysgu awtomatig berfformio arnynt trwy hunan-ddiagnosis ar gyflwr y cynhyrchiad ac yn arddangos y sgrin cymorth cychwyn ar ôl y newid.

Rhybudd am frwyn gwacáu cydrannau

25

Yn rhagweld blinder ar yr un pryd o wahanol gydrannau (brwyn) ac yn hysbysu'r gweithredwr o ruthr o'r fath (rhybudd: cais am gefnogaeth) Fel arfer, mae'n dangos faint o amser cyn i'r blinder gydran nesaf ddigwydd ar y sgrin.

Gan gymryd cysyniad a chydnawsedd cyfres NPM

Mae creu data, y drol bwydo (17-slot), peiriant bwydo tâp a ffroenell yn gydnaws â chyfres NPM Cymryd y cysyniad o gysylltiad llinell cyfres NPM â chyfres NPM-D a NPM-TT wedi'i alluogi

26

27

* Mae un maint L ar gael ar wahân, yn dibynnu ar faint y gydran.

Uned splicing tâp awtomatig

Yn awtomatig splicing o dâp lled 8 mm (papur / boglynnog).

28

Gan gymryd cysyniad a chydnawsedd cyfres NPM

29

system APC

APC-FB * 1 Adborth i'r peiriant argraffu

· Yn seiliedig ar y data mesur a ddadansoddwyd o archwiliadau sodr, mae'n cywiro safleoedd argraffu.(X,Y,θ)

30

APC-FF * 1 Feedforward i'r peiriant lleoli

·Mae'n dadansoddi data mesur safle solder, ac yn cywiro safleoedd lleoli cydrannau (X, Y, θ) yn unol â hynny. Cydrannau sglodion (0402C/R ~)Cydran pecyn (QFP, BGA, CSP)

31

APC-MFB2Adborth i AOI / Adborth i'r peiriant lleoli

·Archwiliad safle ar safle gwrthbwyso'r APC

· Mae'r system yn dadansoddi data mesur safle cydrannau AOI, yn cywiro lleoliad lleoliad (X, Y, θ), a thrwy hynny yn cynnal cywirdeb lleoliad. Yn gydnaws â chydrannau sglodion, cydrannau electrod is a chydrannau plwm * 2

32

* 1 : APC-FB (adborth) / FF (adborth): gellir cysylltu peiriant archwilio 3D cwmni arall hefyd.(Gofynnwch i'ch cynrychiolydd gwerthu lleol am fanylion.)*2 : APC-MFB2 (adborth gosodwr2): Mae'r mathau o gydrannau perthnasol yn amrywio o un gwerthwr AOI i'r llall.(Gofynnwch i'ch cynrychiolydd gwerthu lleol am fanylion.)

Opsiwn adfer awtomatig - dysgeidiaeth safle pickup yn awtomatig rhag ofn y bydd gwall

Pan ddigwyddodd gwall codi / adnabod, mae'r peiriant yn cywiro'r safle codi yn awtomatig heb stopio, ac yn ailddechrau cynhyrchu. Mae hynny'n gwella cyfradd gweithredu'r peiriant. ni chefnogir tâp (tryloywder).)

Ailddechrau cynhyrchu yn awtomatig ar ôl addysgu lleoliad pickup

33

Opsiwn adfer awtomatig - Ail-godi'r gydran gwall (ailgeisio)

Yn achos gwall codi, rhowch gynnig arall ar y pickup heb dâp bwydo.Mae'n lleihau cydrannau taflu.

Mewn achos o wall: ail-godi (ailgeisio) yn y sefyllfa bresennol * Dim porthiant tâp

34

Dim cydran taflu oherwydd nid yw tâp yn cael ei fwydo.*

□ Pan fydd ail-godi (ailgeisio) yn cael ei olynu, nid yw'r gwall yn cael ei gyfrif □ Gellir gosod nifer y cyfrif ail-ddewis (ailgeisio).

* : Pan fydd ail-godi (ailgeisio) yn cael ei lwyddo.

Opsiwn adfer awtomatig - Adferiad awtomatig esblygol (rheolaeth a ragwelir)

Mae LNB yn dadansoddi amrywiad y gyfradd gwallau codi / adnabod yn awtomatig ac yn cyfarwyddo'r peiriant i berfformio addysgu i atal gwallau peiriant rhag stopio.

35

Opsiwn gweithredu o bell

Mae adferiad trwy weithrediad o bell ar gael ar gyfer y gwall, a gellir ei adfer yn seiliedig ar farn ddynol yn unig. amser, ac felly cyflawni arbediad llafur a chyfradd gweithredu gwell.

36

Llywio - opsiwn llywio gosod porthwr

Mae'n offeryn cymorth i lywio gweithdrefn sefydlu effeithlon.Mae'r offeryn yn ffactor yn yr amser y mae'n ei gymryd i berfformio a chwblhau gweithrediadau gosod wrth amcangyfrif yr amser sydd ei angen ar gyfer cynhyrchu a darparu cyfarwyddiadau gosod i'r gweithredwr. Bydd hyn yn delweddu ac yn symleiddio gweithrediadau gosod yn ystod gosod ar gyfer llinell gynhyrchu.

Llywio - Opsiwn llywio cyflenwad cydran

Offeryn cymorth cyflenwi cydrannau sy'n llywio blaenoriaethau cyflenwi cydrannau effeithlon.Mae'n ystyried yr amser sydd ar ôl hyd nes y bydd y gydran wedi dod i ben a llwybr symud y gweithredwr yn effeithlon i anfon cyfarwyddiadau cyflenwi cydrannau at bob gweithredwr.Mae hyn yn sicrhau cyflenwad mwy effeithlon o gydrannau.

37

38

39

*Mae'n ofynnol i PanaCIM gael gweithredwyr â gofal am gyflenwi cydrannau i linellau cynhyrchu lluosog.

Cynnal a chadw pen lleoliad

Gwneir defnydd da o swyddogaeth hunan-ddiagnosis y peiriant i ganfod amseriad cynnal a chadw'r pen lleoliad yn awtomatig.Yn ogystal, gellir defnyddio'r uned cynnal a chadw i gadw'r pennaeth lleoliad mewn cyflwr gweithio heb fod angen sgiliau.

Gwiriwr llwyth (Yn cael ei ddatblygu)

Yn mesur y “llwyth mewnoliad” a osodir gan y pen lleoliad, ac, fel maint y newid o'r gwerth cyfeirio, yn dangos y canlyniad mesuredig ar fonitor y peiriant neu LNB.

Uned cynnal a chadw pen

I awtomeiddio archwilio a chynnal a chadw'r pennaeth lleoliad.

40

Swyddogaeth diagnosis pen (dan ddatblygiad)

Yn archwilio cyflwr cylched niwmatig

Canfod gwall chwythu *1

Yn gwirio statws chwythu'r lleoliad

* 1: Daw'r swyddogaeth hon yn safonol gyda'r peiriant

Cynnal a chadw porthwr

Yn annibynnol ar sgil y gweithredwr, mae'r uned cynnal a chadw bwydo yn cynnal arolygiadau a graddnodi perfformiad bwydo yn awtomatig.Gall ei ddefnydd cyfunol â modiwl cynnal a chadw PanaCIM atal cynnwys porthwyr nad ydynt yn cydymffurfio yn awtomatig yn y cynhyrchiad.

Uned cynnal a chadw bwydo

Yn awtomeiddio arolygu rhannau mawr sy'n effeithio ar berfformiad bwydo a graddnodi'r safle codi.

41

Ymlyniad bwydo sengl tenau

Atodiad bwydo sengl math tenau* 2 (opsiwn)

*2: Mae angen y "Prif jig ar gyfer peiriant bwydo sengl math tenau" ac "Atodlen ar gyfer porthwr sengl math tenau" ar gyfer y "porthiant tâp sengl tenau" a'r "porthwr llwyth awtomatig (Yn cael ei ddatblygu)".

Cynnal a chadw PanaCIM

Rheoli asedau llawr mowntio, megis peiriannau, pennau a bwydwyr, hysbysu'r asedau sy'n agosáu at eu dyddiadau cynnal a chadw, a chofnodi hanes cynnal a chadw.

Swyddogaeth cydgloi

· Yn monitro statws y gwall wrth gynhyrchu, ac yn cymhwyso Interlock i borthwyr diffygiol

·Cydglo ar gyfer porthwyr y mae IFMU yn barnu nad ydynt yn cydymffurfio

Gallu newid drosodd - Opsiwn newid drosodd yn awtomatig

Gall cefnogi newid drosodd (data cynhyrchu ac addasu lled rheilffyrdd) leihau colli amser

42

• Gellir dewis swyddogaeth darllen i mewn math PCB ID darllen-i-mewn PCB ID o blith 3 math o sganiwr allanol, camera pen neu ffurflen gynllunio

43

M2M – iLNB* (Model Rhif NM-EJS5B)

Mae rheolaeth gyfunol o'ch llinell sy'n cynnwys nid yn unig peiriannau Panasonic ond trydydd gwerthwyr trwy un cyfrifiadur personol yn darparu cefnogaeth ar gyfer eich cynhyrchiad gwirioneddol, rheoli ansawdd a phrosesu. Mae Panasonic yn barod i gymryd y rhyngwyneb rhwng ei beiriannau a'i drydydd gwerthwyr '.

44

Eitem

Panasonic

Heb fod yn Panasonic

Casglu / arddangos gwybodaeth

Newid awtomatig

*Am fanylion, cyfeiriwch at y catalog neu fanyleb ar gyfer y system rheoli llinell integredig“iLNB."

Rhestr swyddogaethau

Swyddogaeth

Manylion

1 Newid yn awtomatig

00001.Cofrestru rysáit newid yn awtomatig

00002.Line newid yn awtomatig

00003. Monitro newid yn awtomatig

00004.Llinell monitro gweithrediad

2E-Link (mewnbwn gwybodaeth)

00001.Lawrlwytho / golygu'r amserlen

3E-Link (allbwn gwybodaeth)

Allbwn gwybodaeth 00001.Operation

00002.Trace allbwn gwybodaeth

Allbwn statws 00003.Machine

4E-Link (rheolaeth peiriant)

00001.Machine cyd-gloi, Rheoli cychwyn Cynhyrchu

5E-Cyswllt (Ysgrifennwch y porthwr)

00001.Writing o ddata cydrannau gan system allanol

6 Swyddogaeth cyfathrebu (GEM ・ PLC)

00001.SECS2/GEM cyfathrebu

00002.OPC cyfathrebu

00003.IO/RS-232C cyfathrebu

*Mae'r iLNB yn cynnwys meddalwedd a chyfrifiadur (iLNB PC). PLC PC, cyfathrebu trosi PLC, a dyfeisiau eraill a ddylai gael eu paratoi gan gwsmeriaid.

M2M - Swyddogaeth Cyfathrebu Gwybodaeth PCBAOI Opsiwn Arddangos Gwybodaeth

Mae NPM ar y pen llinell yn cydnabod marciau, ac yn anfon gwybodaeth am farciau ymlaen i NPMs i lawr yr afon.Mae hynny'n dileu'r angen i'r NPMs i lawr yr afon gydnabod y marciau.

Pwnc ar gyfer cyfathrebu

Adnabod marciau gwael

45

Marc drwg yn cael ei sganio yn y peiriant cyntaf.

Adnabod marc patrwm

46

Caiff yr holl farciau eu cydnabod yn y peiriant cyntaf a dim ond marciau meistr y mae peiriannau i lawr yr afon yn eu hadnabod.

*Cyfeiriwch at y “Llyfryn Manyleb” am fanylion.

47

Mae gwybodaeth am gydrannau a fernir yn NG gan AOI yn cael ei harddangos ar AOI ac NPM.

48

Defnyddir AOI i nodi'r NPM targed

Rhoddir yr NPM targed mewn cyflwr rhybuddio, a dangosir gwybodaeth o AOI ar y sgrin

System Creu Data - NPM-DGS (Model Rhif NM-EJS9A)

Mae hwn yn becyn meddalwedd sy'n darparu rheolaeth integredig o lyfrgell cydrannau a data PCB, yn ogystal â data cynhyrchu sy'n gwneud y mwyaf o linellau mowntio gydag algorithmau perfformiad uchel ac optimeiddio.

*1: Rhaid prynu cyfrifiadur ar wahân.*2: Mae gan NPM-DGS ddwy swyddogaeth reoli lefel llawr a llinell.

49

Mewnforio CAD

50

Yn eich galluogi i fewnforio data CAD a gwirio polaredd, ac ati, ar y sgrin.

Optimeiddio

51

Yn sylweddoli cynhyrchiant uchel a hefyd yn caniatáu ichi greu araeau cyffredin.

Golygydd PPD

52

Diweddaru data cynhyrchu ar PC yn ystod y cynhyrchiad i leihau colli amser.

Llyfrgell cydran

53

Yn caniatáu rheolaeth unedig o'r llyfrgell gydrannau gan gynnwys mowntio, archwilio a dosbarthu.

System Creu Data - Camera All-lein (opsiwn)

Gellir creu data cydrannau all-lein hyd yn oed tra bod y peiriant ar waith.

Defnyddiwch y camera llinell i greu amodau data.Lighting cydran a gellir cadarnhau cyflymder cydnabyddiaeth ymlaen llaw, felly mae'n cyfrannu at wella cynhyrchiant ac ansawdd.

54Uned Camera All-lein

System Creu Data - Awtomeiddio DGS (opsiwn)

Mae tasgau arferol llaw awtomataidd yn lleihau gwallau gweithredu ac amser creu data.

Gall tasgau arferol Llawlyfr fod yn automated.By gydweithio â'r system cwsmeriaid, gall y tasgau arferol ar gyfer creu data yn cael ei leihau, felly mae'n cyfrannu at ostyngiad sylweddol mewn cynhyrchu time.It paratoi hefyd yn cynnwys y swyddogaeth i gywiro yn awtomatig y cyfesurynnau ac ongl y pwynt mowntio (Rhith AOI).

Enghraifft o ddelwedd system gyfan

55

Tasgau awtomataidd (dyfyniad)

· Mewnforio CAD

· Gosodiad marc gwrthbwyso

· PCB siamffrog

· Cywiro camlinio pwynt mowntio

· Creu swyddi

· Optimeiddio

·Allbwn PPD

· Lawrlwythwch

System Creu Data - Optimeiddio'r gosodiad (opsiwn)

Mewn cynhyrchiad sy'n cynnwys modelau lluosog, mae llwythi gwaith gosod yn cael eu hystyried a'u hoptimeiddio.

Ar gyfer mwy nag un PCB sy'n rhannu lleoliad cydran cyffredin, efallai y bydd angen setiau lluosog oherwydd prinder unedau cyflenwi. Er mwyn lleihau'r llwythi gwaith gosod gofynnol mewn achos o'r fath, mae'r opsiwn hwn yn rhannu PCBs yn grwpiau lleoli cydrannau tebyg, yn dewis tabl s) ar gyfer setup ac felly awtomeiddio operation.It lleoli cydrannau yn cyfrannu at wella perfformiad setup a lleihau amser paratoi cynhyrchu ar gyfer cwsmeriaid gweithgynhyrchu gwahanol fathau o gynnyrch mewn symiau bach.

Enghraifft

56

Opsiwn Dilysu Cydran - Gorsaf gymorth gosod all-lein

Yn atal gwallau sefydlu yn ystod y newiddrosiYn darparu cynnydd mewn effeithlonrwydd cynhyrchu trwy weithrediad hawdd

57

* Sganwyr diwifr ac ategolion eraill i'w darparu gan y cwsmer

·Yn atal camleoliad cydrannau yn rhagataliolYn atal camleoli trwy wirio data cynhyrchu gyda'r wybodaeth cod bar ar gydrannau newid drosodd.

· Swyddogaeth synching data setup awtomatigMae'r peiriant ei hun yn gwneud y dilysu, gan ddileu'r angen i ddewis data gosod ar wahân.

· Swyddogaeth cyd-gloiBydd unrhyw broblemau neu fethiannau yn y dilysu yn atal y peiriant.

· Swyddogaeth llywioSwyddogaeth llywio i wneud y broses ddilysu yn haws ei deall.

Gyda'r gorsafoedd cymorth, mae'n bosibl sefydlu cartiau bwydo all-lein hyd yn oed y tu allan i'r llawr gweithgynhyrchu.

• Mae dau fath o Orsaf Gymorth ar gael.

58 Gorsaf Cyflenwi Pŵer : Setup Cert Cyfnewid Swp - Yn darparu pŵer i'r holl borthwyr yn Setup Cart.Feeder - yn darparu pŵer i borthwyr unigol.
59

Gorsaf Dilysu Cydrannau : Yn ychwanegol at yr orsaf gyflenwi pŵer, ychwanegir nodwedd Dilysu Cydran at y model hwn. Bydd yr orsaf yn eich llywio i'r lleoliad lle mae angen cyfnewid porthwyr.

Rhyngwyneb agored - opsiwn cyfathrebu gwesteiwr

Yn gallu safoni'r rhyngwyneb â'ch systemau a ddefnyddir ar hyn o bryd.Yn darparu cyfathrebu data gyda'n rhyngwynebau safonol.

60

·DigwyddiadauAllbynnau digwyddiad amser real o offer

· Gwirio cydrannau cwmni arallYn cyfathrebu â'ch systemau dilysu cydrannau

·Data rheoli cydrannau

· Data maint y gydran sy'n weddill: Allbynnau cydran data maint sy'n weddill

· Data olrhain: Data allbwn sy'n gysylltiedig â gwybodaeth am gydrannau (*1) a gwybodaeth PCB (*2)

(*1) Yn gofyn am fewnbynnu gwybodaeth gydran gydag opsiwn dilysu cydran neu system dilysu cydrannau cwmni arall I/F(*2) Mae angen mewnbynnu gwybodaeth PCB gydag opsiwn newid awtomatig

Manyleb:

ID Model

NPM-DX

dimensiynau PCB (mm) *Pan fydd y fanyleb hir.cludwr yn cael ei ddewis

Modd lôn sengl

L 50 × W 50 ~ L 510 × W 590

Modd lôn ddeuol

L 50 × W 50 ~ L 510 × W 300

Amser cyfnewid PCB * Pan fydd y fanyleb fer.cludwr yn cael ei ddewis

2.1 s (L 275 mm neu lai) 4.8 s (L 275 mm neu drosodd i L 460 mm neu lai) * Gall fod yn wahanol yn dibynnu ar fanylebau PCB.

Ffynhonnell drydan

AC 3-cyfnod 200, 220, 380, 400, 420, 480 V 5.0 kVA

Ffynhonnell niwmatig *1

Isafswm: 0.5 MPa 、 200 L / mun (ANR)

Dimensiynau (mm)

W 1 665 *2 × D 2 570 *3 × H 1 444 *4

Offeren

3 600 kg (Dim ond ar gyfer y prif gorff: Mae hyn yn wahanol yn dibynnu ar ffurfweddiad yr opsiwn.)

Pen lleoliad

Pen ysgafn 16-ffroenell V2 (Y pen)

Pen ysgafn 8 ffroenell (Y pen)

Pen 4-ffroenell (Y pen)

Max.cyflymder

46 200 cy (0.078 s/ sglodyn)

24 000 cy (0.150 s/ sglodyn)

8 500 cyh (0.424 s/ sglodyn)8 000 cyh (0.450 s/ QFP)

Cywirdeb lleoliad (Cpk≧1)

±25 μm/sglodyn Sgwâr

±25 μm/ Sglodyn sgwâr

±40 μm/QFP

□12 mm O dan ±25 μm/QFP

□12 mm i

□32 mm

±20 μm/ QFP

Dimensiynau cydran (mm)

Cydran 0201 *5*6 / 03015 cydran *50402 cydran *5 i L 6 x W 6 x T 3

Cydran 0402 *5 ~L 45 x W 45 neu L 100 x W 40 x T 12

sglodyn 0603 ~ L 120 x W 90 neu L 150 x W 25 x T 30

Cyflenwad cydrannau

Tapio

Tâp: 4 / 8 / 12 / 16 / 24 / 32 / 44 / 56 mm

Tâp: 4 ~ 56 / 72 / 88 / 104 mm

Tapio

Tâp 4, 8 mm: Uchafswm.136

Glynu

Max.32 (bwydo un ffon)

* 1: Dim ond ar gyfer y prif gorff

* 2: 2 265 mm o led os gosodir cludwyr estyniad (300 mm) ar y ddwy ochr.

*3: Dimensiwn D gan gynnwys cart bwydo

* 4: Ac eithrio'r monitor, twr signal a gorchudd ffan nenfwd.

* 5: Mae cydran 0201/03015/0402 yn gofyn am borthwr ffroenell / tâp penodol.

*6: Mae lleoliad cydrannau 0201 yn ddewisol.(O dan amodau a bennir gan Panasonic)

* Gall amser tact lleoliad a gwerthoedd cywirdeb amrywio ychydig yn dibynnu ar amodau.

* Cyfeiriwch at lyfryn y fanyleb am fanylion.

Hot Tags: panasonic smt sglodion mounter npm-dx, llestri, gweithgynhyrchwyr, cyflenwyr, cyfanwerthu, prynu, ffatri


  • Pâr o:
  • Nesaf:

  • Ysgrifennwch eich neges yma a'i hanfon atom